C:\>L2A_process --resolution 10 "D:\test\S2A_OPER_PRD_MSIL1C_PDMC_20160104T18280 7_R079" Sentinel-2 Level 2A Prototype Processor (Sen2Cor), 2.0.6, created: 2015.12.02 st arted ... check if 20m table exists ... check if 60m table exists... Validating metadata file S2A_OPER_MTD_L1C_TL_SGS__20160104T141459_A002793_T32SQE .xml against scheme ... Metadata file is valid. L2A_Process: resolution 60 m already processed, elapsed time[s]: 0.844 Progress[%]: 0.06 : L2A_Process: processing with resolution 20 m, elapsed time[s ]: 0.020 Progress[%]: 0.06 : L2A_Process: start of pre processing, elapsed time[s]: 0.003 Progress[%]: 0.06 : Validating metadata file S2A_OPER_MTD_SAFL1C_PDMC_20160104T1 82807_R079_V20160104T100050_20160104T100050.xml against scheme ... Metadata file is invalid, see logfile for details. Validating metadata file S2A_OPER_MTD_L1C_TL_SGS__20160104T141459_A002793_T32SQE .xml against scheme ... Metadata file is valid. Validating metadata file S2A_OPER_MTD_L1C_DS_SGS__20160104T141459_S20160104T0954 06.xml against scheme ... Metadata file is valid. L2A_Tables: start import, elapsed time[s]: 1.557 Progress[%]: 0.10 : L2A_Tables: band B01 imported, elapsed time[s]: 5.436 Progress[%]: 0.47 : L2A_Tables: band B02 imported, elapsed time[s]: 1.976 Progress[%]: 0.60 : L2A_Tables: band B03 imported, elapsed time[s]: 1.866 Progress[%]: 0.72 : L2A_Tables: band B04 imported, elapsed time[s]: 1.821 Progress[%]: 0.84 : L2A_Tables: band B05 imported, elapsed time[s]: 1.630 Progress[%]: 0.95 : L2A_Tables: band B06 imported, elapsed time[s]: 1.653 Progress[%]: 1.06 : L2A_Tables: band B07 imported, elapsed time[s]: 1.604 Progress[%]: 1.17 : L2A_Tables: band B8A imported, elapsed time[s]: 1.616 Progress[%]: 1.28 : L2A_Tables: band B09 imported, elapsed time[s]: 5.324 Progress[%]: 1.63 : L2A_Tables: band B10 imported, elapsed time[s]: 5.477 Progress[%]: 2.00 : L2A_Tables: band B11 imported, elapsed time[s]: 1.583 Progress[%]: 2.10 : L2A_Tables: band B12 imported, elapsed time[s]: 1.469 Progress[%]: 2.20 : ---interrupted by user----